コンテンツにスキップ

英文维基 | 中文维基 | 日文维基 | 草榴社区

利用者:加藤勝憲/設計フロー (EDA)

設計フローは、集積回路の設計を達成するための電子設計自動化ツールの明示的な組み合わせである。ムーアの法則により、ICインプリメンテーションのRTLからGDSIIまでの設計フロー[clarification needed]全体が、主にスタンドアロンの合成、配置、配線アルゴリズムを使用するものから、デザイン・クロージャのための統合された構築と解析のフローへと移行しました。インターコネクト遅延の増大という課題が、デザイン・クロージャー・ツールの新しい考え方と統合をもたらしました。

Design flows are the explicit combination of electronic design automation tools to accomplish the design of an integrated circuit. Moore's law has driven the entire IC implementation RTL to GDSII design flows[要説明] from one which uses primarily stand-alone synthesis, placement, and routing algorithms to an integrated construction and analysis flows for design closure. The challenges of rising interconnect delay led to a new way of thinking about and integrating design closure tools.

1980年から2005年にかけて、RTLからGDSIIへの流れは大きく変化した。CMOSテクノロジが微細化し続けたことで、さまざまな設計ステップの目的が大きく変わりました。遅延の優れた予測因子がないため、最近の設計フローは大きく変化しています。リークパワー、ばらつき、信頼性といった新たな微細化の課題は、今後も設計終結プロセスに大きな変化を要求し続けるでしょう。多くの要因が、設計フローを一連の個別の設計ステップから完全に統合されたアプローチへと駆り立てたものであり、最新の課題に対処するためにどのようなさらなる変化がもたらされるのかを説明している。The Tides of EDA(EDAの潮流)と題された第40回Design Automation Conferenceの基調講演で、アルベルト・サンジョバンニ・ヴィンセンテリ氏はEDAの3つの時代を区別した:

The RTL to GDSII flow underwent significant changes from 1980 through 2005. The continued scaling of CMOS technologies significantly changed the objectives of the various design steps. The lack of good predictors for delay has led to significant changes in recent design flows. New scaling challenges such as leakage power, variability, and reliability will continue to require significant changes to the design closure process in the future. Many factors describe what drove the design flow from a set of separate design steps to a fully integrated approach, and what further changes are coming to address the latest challenges. In his keynote at the 40th Design Automation Conference entitled The Tides of EDA, Alberto Sangiovanni-Vincentelli distinguished three periods of EDA:

  • 発明の時代: 発明時代には、配線、配置、静的タイミング解析、論理合成が発明された。
  • The Age of Invention: During the invention era, routing, placement, static timing analysis and logic synthesis were invented.
  • 実装の時代: インプリメンテーションの時代には、洗練されたデータ構造と高度なアルゴリズムを設計することで、これらのステップは劇的に改善された。これにより、各設計ステップのツールは、急速に増大する設計規模に対応できるようになりました。しかし、優れた予測コスト関数の欠如により、各ステップがいかに効率的に実装されていたとしても、離散的なステップの集合によって設計フローを実行することは不可能となった。
  • The Age of Implementation: In the age of implementation, these steps were drastically improved by designing sophisticated data structures and advanced algorithms. This allowed the tools in each of these design steps to keep pace with the rapidly increasing design sizes. However, due to the lack of good predictive cost functions, it became impossible to execute a design flow by a set of discrete steps, no matter how efficiently each of the steps was implemented.
  • 統合の時代: これにより、設計ステップの大半が統合環境で実行され、一連のインクリメンタル・コスト・アナライザーによって駆動される統合の時代が到来した。
  • The Age of Integration: This led to the age of integration where most of the design steps are performed in an integrated environment, driven by a set of incremental cost analyzers.

アナログ集積回路とデジタル集積回路の設計フローのステップや手法には違いがある。とはいえ、典型的なVLSI設計フローは、設計概念化、チップ最適化、論理/物理インプリメンテーション、設計検証と妥当性確認といった様々なステップで構成されている。

There are differences between the steps and methods of the design flow for analog and digital integrated circuits. Nonetheless, a typical VLSI design flow consists of various steps like design conceptualization, chip optimization, logical/physical implementation, and design validation and verification.[1][2]

関連項目

[編集]
  • フロアプラン(マイクロエレクトロニクス):設計が配置され、配線される物理的なインフラを作成する。 Floorplan (microelectronics), creates the physical infrastructure into which the design is placed and routed
  • エレクトロニック・デザイン・オートメーション(EDA)の重要なステップであるプレースメント(EDA)。Placement (EDA), an essential step in Electronic Design Automation (EDA)
  • 集積回路設計の重要なステップであるルーティング(EDA)。  Routing (EDA), a crucial step in the design of integrated circuits
  • 電力最適化(EDA):EDAツールを使用して、デジタル設計の機能を維持しながら消費電力を最適化(削減)すること。Power optimization (EDA), the use of EDA tools to optimize (reduce) the power consumption of a digital design, while preserving its functionality
  • EDA設計フローの最終ステップ、ポストシリコン検証 Post-silicon validation, the final step in the EDA design flow

脚注・参考文献

[編集]
  1. ^ ASIC Design Flow in VLSI Engineering Services – A Quick Guide” (英語) (2019年6月4日). 2019年11月28日閲覧。
  2. ^ Basu, Joydeep (2019-10-09). “From Design to Tape-out in SCL 180 nm CMOS Integrated Circuit Fabrication Technology”. IETE Journal of Education 60 (2): 51–64. arXiv:1908.10674. doi:10.1080/09747338.2019.1657787. 
  • Electronic Design Automation For Integrated Circuits Handbook, by Lavagno, Martin, and Scheffer, ISBN 0-8493-3096-3 – A survey of the field, from which this summary was derived, with permission.

Template:Design [[Category:デジタルエレクトロニクス]] [[Category:CAD]]